首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 30 vhdl source for the beginning of the study vhdl helpful, and can help to unde...

30 vhdl source for the beginning of the study vhdl helpful, and can help to unde...

  • 资源大小:19.55 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL others

资 源 简 介

三十个vhdl源码,对于刚开始学习vhdl的很有帮助,可以帮助理解数字电路-30 vhdl source for the beginning of the study vhdl helpful, and can help to understand digital circuit

文 件 列 表

COM9S.VHD
COM_ENCODE.VHD
COMCOUN.VHD
COUNT24.VHD
COUNT60.VHD
COUNT_DOWN.VHD
DEBOUNCE.VHD
DIV1024.VHD
DIVIDER.VHD
DOWNCNT.VHD
FADD.VHD
FADD4.VHD
HEX_FONT.VHD
I24BCD.VHD
I60BCD.VHD
KEY_SCAN.VHD
ALARM_SET.VHD
ASCII_ROM.VHD
BCD.VHD
BCD3.VHD
BCD_7SEG.VHD
BCD_ADD_SUB.VHD
BCD_MUX.VHD
BCDADD.VHD
BIN2LED.VHD
CAL.VHD
CLK_GEN.VHD
CODE_TRAN.VHD
COLA.ER
COLA.VHD
VIP VIP
0.175756s