首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于FPGA的LCD1602驱动程序

基于FPGA的LCD1602驱动程序

资 源 简 介

,笔者准备采用LCD1602字符液晶作为载体,来实现“Hello World”的显示。雷同于前面MCU按键消抖动方案1的C语言代码移植一样,此处我们准备以状态机的方式,移植LCD1602的驱动代码到Verilog HDL中,驱动实现LCD

文 件 列 表

lcd1602_driver.v
VIP VIP
  • IATWAY 1天前 成为了本站会员

  • 大智若愚 1天前 成为了本站会员

  • Mason 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • Half_Punch 2天前 成为了本站会员

  • liqing71718 2天前 成为了本站会员

  • 伟国 2天前 成为了本站会员

  • songy 2天前 成为了本站会员

  • 纯色幽默 2天前 成为了本站会员

  • odd? 2天前 成为了本站会员

0.173560s