首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 格雷码转二进制的Verilog程序

格雷码转二进制的Verilog程序

资 源 简 介

资源描述该代码通过采用Verilog语言中的for循环语句实现了格雷码转二进制代码的功能,不同于网上的代码是该代码进行了修正,通过了ModelSim仿真完全正确,可以用来作为for循环语句的学习

文 件 列 表

gray2bin
gray2bin.qpf
gray2bin.v
gray2bin_tb.v
VIP VIP
  • 大智若愚 14分钟前 成为了本站会员

  • Mason 2小时前 成为了本站会员

  • 3小时前 成为了本站会员

  • Half_Punch 1天前 成为了本站会员

  • liqing71718 1天前 成为了本站会员

  • 伟国 1天前 成为了本站会员

  • songy 1天前 成为了本站会员

  • 纯色幽默 1天前 成为了本站会员

  • odd? 1天前 成为了本站会员

  • 52JOY... 1天前 成为了本站会员

0.171438s