首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 在VHDL编码矩阵的3x3

在VHDL编码矩阵的3x3

  • 资源大小:2.10 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 文件处理 vb vhdl 编码 矩阵

资 源 简 介

应用背景这是一个编码使用VHDL语言实现FPGA矩阵乘法。这一计划将做两3x3矩阵,将增加操作。它会给你的3x3矩阵的结果与精确计算。使用Quartus II 9.1版仿真提供一个好的结果。关键技术这个程序可以使用Altera FPGA板上用VHDL语言实现的1。

文 件 列 表

DCT_beh.vhd
lab4b_tb.vhd
VIP VIP
0.172969s