首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 一个任意整数分频程序,采用VHDL语言编写,编译通过

一个任意整数分频程序,采用VHDL语言编写,编译通过

  • 资源大小:23.55 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

一个任意整数分频程序,采用VHDL语言编写,编译通过-An arbitrary integer frequency procedure for the VHDL language, the compiler through

文 件 列 表

integerdiv.vwf
integerdiv.asm.rpt
integerdiv.done
integerdiv.dpf
integerdiv.fit.rpt
integerdiv.fit.smsg
integerdiv.fit.summary
integerdiv.flow.rpt
integerdiv.map.rpt
integerdiv.map.summary
integerdiv.pin
integerdiv.qsf
integerdiv.qws
integerdiv.sim.rpt
integerdiv.tan.rpt
integerdiv.tan.summary
integerdiv.vhd
integerdiv.qpf
VIP VIP
0.185003s