首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于VHDL的全数字锁相环的设计 有关键部分的源代码 hehe !

基于VHDL的全数字锁相环的设计 有关键部分的源代码 hehe !

  • 资源大小:164.65 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 其他项目 c++

资 源 简 介

基于VHDL的全数字锁相环的设计 有关键部分的源代码 hehe !-VHDL-based all-digital phase-locked loop has a key part of the design of the source code hehe!

文 件 列 表

《基于VHDL的全数字锁相环的设计》有关键部分的源代码
说明.txt
VHDLDPLL.pdf
VIP VIP
0.178152s