首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 分频器代码..在VHDL语言

分频器代码..在VHDL语言

  • 资源大小:175.68 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Project Design vhdl

资 源 简 介

divider code .. in VHDL language

文 件 列 表

divider
_xmsgs
xst
divider_xdb
divider.cmd_log
divider.ise
divider.lso
divider.ngc
divider.ngr
divider.ntrc_log
divider.prj
divider.restore
divider.stx
divider.syr
divider.vhd
divider.xst
divider_summary.html
divider_vhdl.prj
divider_xst.xrpt
VIP VIP
0.198734s