首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 并行LMS均衡FPGA实现

并行LMS均衡FPGA实现

  • 资源大小:4.57 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Verilog

资 源 简 介

实现FPGA的并行LMS均衡,主要是均衡计算权值系数的算法过程,verilog语言,模块的输入为输入的X信号,输出为权值系数W,以及最后的输出Y。实现了LMS 的并行均衡过程

文 件 列 表

EqualizerCal.v
VIP VIP
0.177241s