首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 北邮数电实验代码

北邮数电实验代码

  • 资源大小:162.60 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL

资 源 简 介

实验一:QuartusⅡ原理图输入法设计与实现一:实验要求    ①:用逻辑门设计实现一个半加器,仿真验证其功能,并生成新         的半加器图形模块单元。    ②:用实验一生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。    ③:用3线—8线译码器和逻辑门设计实现函数F,仿真验证其功能,下载到实验板测试。要求用拨码开关 设定输入信号,发光二极管显示输出信号。二:报告内容

文 件 列 表

北邮-数电实验报告.doc
VIP VIP
0.239000s