首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > :正弦波发生器的程序,包括直接数字频率合成器(DDS),作为…

:正弦波发生器的程序,包括直接数字频率合成器(DDS),作为…

  • 资源大小:56.03 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 其他项目 vhdl

资 源 简 介

:正弦波发生器例程,包括了直接数字频率合成(DDS)的原理以及如何应用CPLD产生频率可控频率的正弦信号。-: Sine wave generator routine, including a direct digital synthesizer (DDS), as well as the application of the principle of frequency control CPLD generated sinusoidal signal frequency.

文 件 列 表

example10
db
dds.asm.rpt
dds.cdf
dds.done
dds.dpf
dds.fit.rpt
dds.fit.smsg
dds.fit.summary
dds.flow.rpt
dds.map.rpt
dds.map.summary
dds.pin
dds.pof
dds.qpf
dds.qsf
dds.qws
dds.tan.rpt
dds.tan.summary
dds.vhd
dds.asm(1).rpt
dds(1).cdf
dds(1).done
dds(1).dpf
dds.fit(1).rpt
dds.fit(1).smsg
dds.fit(1).summary
dds.flow(1).rpt
dds.map(1).rpt
dds.map(1).summary
dds(1).pin
dds(1).pof
dds(1).qpf
dds(1).qsf
dds(1).qws
dds.tan(1).rpt
dds.tan(1).summary
dds(1).vhd
VIP VIP
0.171546s