首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL实现视频控制程序(实现对图像的采集和压缩)

用VHDL实现视频控制程序(实现对图像的采集和压缩)

资 源 简 介

用VHDL实现视频控制程序(实现对图像的采集和压缩)-Using VHDL video control procedures (the achievement of the image acquisition and compression)

文 件 列 表

用VHDL实现视频控制程序(实现对图像的采集和压缩)
Diagramas
CODIGO VHDL
MEMORIA.PDF
presentacion.ppt
使用说明请参看右侧注释====〉〉.txt
VIP VIP
0.230211s