首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 使用vhdl编写的一段程序。 主要功能是声音周期计算,以区别声音信号与噪音信号。...

使用vhdl编写的一段程序。 主要功能是声音周期计算,以区别声音信号与噪音信号。...

  • 资源大小:10.33 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 其他项目 others

资 源 简 介

使用vhdl编写的一段程序。 主要功能是声音周期计算,以区别声音信号与噪音信号。-vhdl prepared by the use of a procedure. Its main function is voice cycle, in order to distinguish voice signal and the noise signal.

文 件 列 表

acc.vhd
centerclipper.vhd
comparator.vhd
components_pack.vhd
control.vhd
decimate.vhd
FIFO.vhd
fir.vhd
fpga.vhd
ipk.vhd
pack.vhd
ram.vhd
tb_fpga.vhd
VIP VIP
  • 大智若愚 2小时前 成为了本站会员

  • Mason 4小时前 成为了本站会员

  • 5小时前 成为了本站会员

  • Half_Punch 1天前 成为了本站会员

  • liqing71718 1天前 成为了本站会员

  • 伟国 1天前 成为了本站会员

  • songy 1天前 成为了本站会员

  • 纯色幽默 1天前 成为了本站会员

  • odd? 1天前 成为了本站会员

  • 52JOY... 1天前 成为了本站会员

0.170516s