首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > This is an ultrasonic launch control circuit design, a continuous single

This is an ultrasonic launch control circuit design, a continuous single

  • 资源大小:140.34 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 算法 others

资 源 简 介

这是一个超声波发射的控制电路的设计,可以发出连续的单载波脉冲.-This is an ultrasonic launch control circuit design, a continuous single-carrier pulse.

文 件 列 表

9-12
db
emit.asm.rpt
emit.done
emit.fit.rpt
emit.fit.smsg
emit.fit.summary
emit.flow.rpt
emit.map.rpt
emit.map.summary
emit.pin
emit.qpf
emit.qsf
emit.qws
emit.sim.rpt
emit.tan.rpt
emit.tan.summary
emit.vhd
emit.vwf
VIP VIP
0.162456s