首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL进行的dds系统设计,包括键盘输入和LCD显示,编译通过了...

用VHDL进行的dds系统设计,包括键盘输入和LCD显示,编译通过了...

资 源 简 介

用VHDL进行的dds系统设计,包括键盘输入和LCD显示,编译通过了-Dds carried out using VHDL system design, including the keyboard and LCD display, the compiler through the

文 件 列 表

ddsproject
ddscontrol_sim
DSPBuilder_dds
db
ddscontrol_generation_script
dds_DspBuilder_Report.html
dds.mdl
Subsystem.vhd
dds_quartus.tcl
dds.vhd
tb_dds.vhd
tb_dds.tcl
tb_dds.v
dds.vec
dds.map.rpt
dds.flow.rpt
dds.map.summary
dds.done
dds.bsf
dds.qpf
dds.qsf
dds.pin
dds.fit.rpt
dds.fit.smsg
dds.fit.summary
dds.sof
dds.pof
dds.asm.rpt
dds.tan.summary
dds.tan.rpt
sopc_builder_debug_log.txt
.sopc_builder
install.ptf
ddscontrol.ptf
ddscontrol.vhd
ddscontrol.v
cfi_flash.log
ddscontrol_log.txt
cpu_ic_tag_ram.mif
cpu_bht_ram.mif
cpu_rf_ram_a.mif
cpu_rf_ram_b.mif
cpu_dc_tag_ram.mif
cpu_ociram_default_contents.mif
cpu_test_bench.vhd
cpu_mult_cell.vhd
cpu_jtag_debug_module.vhd
cpu_jtag_debug_module_wrapper.vhd
cpu.vhd
cpu.ocp
sdram.vhd
freq.vhd
lcd.vhd
jtag_uart.vhd
key_input.vhd
epcs_controller_boot_rom.hex
epcs_controller.vhd
sdram_test_component.vhd
ddscontrol.bsf
ddscontrol_setup_quartus.tcl
altpll0_waveforms.html
altpll0_wave0.jpg
altpll0.ppf
altpll0.tdf
altpll0.inc
altpll0.bsf
ddstop.bdf
dds.qws
PIN_ep2c8V2.tcl
.metadata
.lock
.sopc_builder
.metadata
VIP VIP
0.179529s