首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > UART的UVM代码

UART的UVM代码

  • 资源大小:1.12 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VLSI verilog 代码 uart UVM

资 源 简 介

应用背景 ;UVM代码为 ; ;UART(通用异步接收器和发送器)。这是一个部分 ;ASIC集成芯片设计的验证。这将帮助设计师了解 ;验证环境 ; ;的 ;一般UVM方法。随着系统的Verilog的帮助。关键技术集成电路(IC),ASIC芯片设计和验证,是超大规模集成电路的关键(电子) ;行业。该文件将帮助你获得 ;UART的验证环境较好 概述;理解总环境 ;UVM。

文 件 列 表

uart_example
uvm_tb
sim
rtl
protocol_monitor
docs
agents
README
mgc_uart.pdf
VIP VIP
0.177667s