首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL语言编写的fft变换的ip核代码 对算法感兴趣的可以

VHDL语言编写的fft变换的ip核代码 对算法感兴趣的可以

  • 资源大小:449.22 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Compress-Decompress algrithms others

资 源 简 介

VHDL语言编写的fft变换的ip核代码 对算法感兴趣的可以-VHDL language fft transform algorithm ip core code can be interested in

文 件 列 表

fft
synth_fft
and_gates.vhd
baseindex.vhd
but.vhd
butter_lib.vhd
comm.txt
control2.vhd
controller.vhd
counter.vhd
cycles_but.vhd
dff.vhd
divide.vhd
FFT_report.pdf
FLOAT2.PIF
FLOAT_RE.TXT
IEEE_TO_.PIF
ioadd.vhd
iod_staged.vhd
lblock.vhd
mult.vhd
multiply.vhd
mux_add.vhd
mux_but.vhd
negate.vhd
normalize.vhd
out_result.vhd
print.vhd
ram.vhd
ram_shift.vhd
rblock.vhd
result.txt
rom.vhd
romadd_gen.vhd
rom_ram.vhd
shift2.vhd
simili.lst
stage.vhd
subtractor.vhd
summer.vhd
swap.vhd
synth_fft.zip
synth_main.vhd
synth_test.vhd
VIP VIP
0.209641s