首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于Verilog 实现RS232的通讯

基于Verilog 实现RS232的通讯

资 源 简 介

应用背景这是通过Verilog硬件描述语言,在XILINX 公司的KINTEX-7系列的FPGA上实现的RS232串口通讯的功能。经过本人亲自验证,完全可以实现通讯的功能。关键技术本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.

文 件 列 表

XILINX-K7-RS232
db
cmp_state.ini
serial.asm.rpt
serial.cdf
serial.done
serial.fit.eqn
serial.fit.rpt
serial.fit.summary
serial.flow.rpt
serial.map.eqn
serial.map.rpt
serial.map.summary
serial.pin
serial.pof
serial.qpf
serial.qsf
serial.qws
serial.tan.rpt
serial.tan.summary
serial.v
serial.v.bak
VIP VIP
0.168846s