首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > FFT变换的VHDL实现

FFT变换的VHDL实现

  • 资源大小:30.57 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 算法 vhdl fft 实现 变换

资 源 简 介

应用背景利用VHDL实现FFT变换,FFT在FPGA中用处比较多,可以帮助大家很好认识FFT变换之后频谱。。。关键技术VHDL实现FFT变换, 旋转因子是FFT实现的难点和重点。。。。。。。。。。。。。。。。。。。

文 件 列 表

FFT 高速傅立叶变换的VHDL源代码 可以综合
and_gates.vhd
baseindex.vhd
but.vhd
butter_lib.vhd
comm.txt
control2.vhd
controller.vhd
counter.vhd
cycles_but.vhd
dff.vhd
divide.vhd
FLOAT2.PIF
FLOAT_RE.TXT
IEEE_TO_.PIF
ioadd.vhd
iod_staged.vhd
lblock.vhd
mult.vhd
multiply.vhd
mux_add.vhd
mux_but.vhd
negate.vhd
normalize.vhd
out_result.vhd
print.vhd
ram.vhd
ram_shift.vhd
rblock.vhd
result.txt
rom.vhd
romadd_gen.vhd
rom_ram.vhd
shift2.vhd
simili.lst
stage.vhd
subtractor.vhd
summer.vhd
swap.vhd
synth_main.vhd
synth_test.vhd
VIP VIP
0.182721s