首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 模拟时钟

模拟时钟

  • 资源大小:1.52 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: analog clock,c++,c,objective-c

资 源 简 介

这是一个模拟时钟代码。关于 c + + 编写此代码。这是很容易的理解代码。任何人都可以读写和编译它。任何人都可以使用它这是免费提供给所有。快乐的编码。

文 件 列 表

analog clock
obj
bin
analog clock.cbp
analog clock.layout
main.cpp
VIP VIP
0.175848s