首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL语言编写的一个16分频器,也在修订过程中任意2…

用VHDL语言编写的一个16分频器,也在修订过程中任意2…

资 源 简 介

利用VHDL语言编写的一个16分频器,另外可以在程序中修改为任意2N的分频器-use VHDL prepared a 16 dividers, Also in the revision process to be arbitrary 2 N Divider

文 件 列 表

clkdiv16分频器实验3.doc
VIP VIP
0.175898s