首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 利用VHDL语言设计一个分频器,输入为CLK,输出分别为CLK1、CLK8、CLK256、 CLK1024...

利用VHDL语言设计一个分频器,输入为CLK,输出分别为CLK1、CLK8、CLK256、 CLK1024...

  • 资源大小:37.29 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

利用VHDL语言设计一个分频器,输入为CLK,输出分别为CLK1、CLK8、CLK256、 CLK1024-The use of VHDL language design a divider, input CLK, the output respectively, CLK1, CLK8, CLK256, CLK1024

文 件 列 表

07070608-2.2
counter1.qsf
counter1.map.summary
counter1.vhd.bak
counter1.vhd
counter1.map.rpt
counter1.pin
counter1.fit.smsg
counter1.fit.summary
counter1.fit.rpt
counter1.pof
counter1.asm.rpt
counter1.tan.summary
counter1.tan.rpt
counter1.flow.rpt
counter1.done
counter1.vwf
counter1.sim.rpt
incremental_db
README
db
prev_cmp_counter1.map.qmsg
counter1.qws
incremental_db
db
counter1.qpf
07070608-2.2
VIP VIP
0.204687s