首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于FPGA的I2S实现

基于FPGA的I2S实现

  • 资源大小:1.35 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 算法 verilog fpga is 基于 实现

资 源 简 介

应用背景采用FPGA实现 的I2S接口。I2S(Inter—IC Sound)总线, 又称 集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准.关键技术采用FPGA实现 的I2S接口,用于传输音频数字到DAC芯片中。所采用的语言为verilog

文 件 列 表

iis.v
VIP VIP
0.189019s