首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL编写的关于SCAN的一个小程序,希望大家看了后能喜欢,也可以学学哟!...

用VHDL编写的关于SCAN的一个小程序,希望大家看了后能喜欢,也可以学学哟!...

资 源 简 介

用VHDL编写的关于SCAN的一个小程序,希望大家看了后能喜欢,也可以学学哟!-VHDL SCAN prepared on a small procedures in the hope that after reading them you will like and can learn yo!

文 件 列 表

SCAN_COUNT
SCAN_COUNT.VHD
SCAN4.VHD
SCAN8.VHD
SCAN8_DIG.VHD
SCAN2.VHD
VIP VIP
0.172044s