首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 实现RS,交织编码,OFDM调制,及其解调,用VHDL语言在FPGA上实现....

实现RS,交织编码,OFDM调制,及其解调,用VHDL语言在FPGA上实现....

  • 资源大小:102.66 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 通信

资 源 简 介

实现RS,交织编码,OFDM调制,及其解调,用VHDL语言在FPGA上实现.-RS woven coding, OFDM modulation, and demodulation using VHDL on FPGA to achieve.

文 件 列 表

Constellation.vhd
CPRemove.vhd
fifo_CPRemove.vhd
fifo_CPRemove_inst.vhd
CPremoveImag.vhd
CPremoveReal.vhd
fifo_CPRemoveImag.vhd
fifo_CPRemoveImag_inst.vhd
fifo_CPRemoveReal.vhd
fifo_CPRemoveReal_inst.vhd
ram_CPremoveImag.vhd
ram_CPremoveImag_inst.vhd
ram_CPremoveReal.vhd
ram_CPremoveReal_inst.vhd
ram_CPRemove.vhd
ram_CPRemove_inst.vhd
CP.vhd
CPimag.vhd
CPreal.vhd
ram_CPimag.vhd
ram_CPimag_inst.vhd
ram_CPreal.vhd
ram_CPreal_inst.vhd
ram_CP.vhd
ram_CP_inst.vhd
DeConstellation.vhd
DeInterleaver.vhd
ram_DeInterleaver.vhd
ram_DeInterleaver_inst.vhd
FFTscale.vhd
Interleaver.vhd
ram_Interleaver.vhd
ram_Interleaver_inst.vhd
ofdm.vhd
VIP VIP
  • IATWAY 1天前 成为了本站会员

  • 大智若愚 1天前 成为了本站会员

  • Mason 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • Half_Punch 2天前 成为了本站会员

  • liqing71718 2天前 成为了本站会员

  • 伟国 2天前 成为了本站会员

  • songy 2天前 成为了本站会员

  • 纯色幽默 2天前 成为了本站会员

  • odd? 2天前 成为了本站会员

0.185663s