首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用数码管显示时间的数字电子钟verilog编写

用数码管显示时间的数字电子钟verilog编写

  • 资源大小:3.44 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

用VERILOG编写的数字电子钟,用数码管进行显示时间-VERILOG prepared with digital electronic clock with a nixie tube display time

文 件 列 表

digi_clock
divn.v
seg7_lut.v
clock.v
counter24.v
counter60.v
digi_clock.v
VIP VIP
0.233810s