首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用count.v和count.c两个文件作为例子,用来说明modelsim的pLI使用方法...

用count.v和count.c两个文件作为例子,用来说明modelsim的pLI使用方法...

资 源 简 介

用count.v和count.c两个文件作为例子,用来说明modelsim的pLI使用方法-using two source files (count.v and count.c ) to demonstrate how to use modesim with PLI

文 件 列 表

count
work
transcript
count.c
count.c.bak
count.dll
count.exp
count.lib
count.obj
count.v
count.v.bak
count10.cr.mti
count10.mpf
count10.mpf.bak
vsim.wlf
VIP VIP
0.170929s