首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > FPGA

FPGA

  • 资源大小:866.64 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

基于FPGA的VHDL编程实现各种音频信号,采用的是周立功公司的fusion_startkit开发板。-FPGA-based VHDL Programming realize a variety of audio signals, are used by companies fusion_startkit weeks Ligong development board.

文 件 列 表

FPGA控制播放各种音频
simple_beep
VIP VIP
  • 云谷 5小时前 成为了本站会员

  • x 8小时前 成为了本站会员

  • 南屏晚钟 10小时前 成为了本站会员

  • 沧海明月 11小时前 成为了本站会员

  • byhejun 11小时前 成为了本站会员

  • Hygr 14小时前 成为了本站会员

  • *levo 14小时前 成为了本站会员

  • 期待与你相见 1天前 成为了本站会员

  • 浩之一荡 1天前 成为了本站会员

  • 后来- 2天前 成为了本站会员

0.176188s