首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > Matlab > 模拟到数字转换器

模拟到数字转换器

  • 资源大小:3.37 MB
  • 上传时间:2021-06-29
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Matlab vhdl 转换器 模拟 数字

资 源 简 介

— — 模拟到数字转换器模型 -- +-----------------------------+ -- |版权所有 1995年-2008年首尔 | -- |图书馆: 模拟 | -- |设计器: Tim Pagden | -- |打开: 1996 年 2 月 2 日 | -- +-----------------------------+ — — 体系结构: — — 02.02.96 原始 — — 20/05/08 编辑用 numeric_std 替换 vfp_lib 库 ieee ; 使用 ieee.std_logic_1164.all ; 使用 ieee.numeric_std.all ; 实体 ADC_8_bit 是 端口 (analog_in: 在实数范围-15.0 至 +15.0 ; digital_out: 出 std_logic_vector (7 到 0) ); 最终实体 ; 体系结构原的 ADC_8_bit 常量 conversion_time: 时间: = 25 ns ; 信号 instantly_digitized_signal: std_logic_vector (7 到 0) ; 信号 delayed_digitized_signal: std_logic_vector (7 到 0) ; 函数 ADC_8b_10v_bipolar ( analog_in: 真正的范围到 +15.0-15.0 ) 返回 std_logic_vector 是 常量 max_abs_digital_value: 整数: = 128 ; 常量 max_in_signal: 真实: = 10.0 ; 变量 analog_signal: 真实 ; 变量 a

文 件 列 表

puzzle
data_gen.vhd
THE PUZZLE GAME.ppt
top8.sof
snake
ASIC_project_200034252_辫倡刮.doc
data_gen.vhd
top18.sof
square
ASIC_project(碍疙痹).hwp
Bin2BCD1.vhd
data_gen.vhd
top2.sof
car
data_gen.vhd
top6.sof
饭捞教霸烙 焊绊辑.hwp
down
200030512 冠惑劳 焊绊辑.hwp
data_gen.vhd
top11.sof
dung
Asic 汲拌 棺 角氰 Project.hwp
data_gen.vhd
top15.sof
frog
200031140 缴侩龋.hwp
data_gen.vhd
top.sof
golf
data_gen.vhd
top9.sof
∝ ASIC Term project.hwp
VIP VIP
0.173747s