首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用Verilog实现的中值滤波代码

用Verilog实现的中值滤波代码

资 源 简 介

在ISE下的中值滤波代码,采用的Verilog HDL语言,已经验证通过,方法简单,适合初学者使用,欢迎改进交流。。。。。。。。。。

文 件 列 表

median_filter
_xmsgs
_ngo
xst
xlnx_auto_0_xdb
iseconfig
median_filter.bld
median_filter.cmd_log
median_filter.gise
median_filter.lso
median_filter.ncd
median_filter.ngc
median_filter.ngd
median_filter.ngr
median_filter.pad
median_filter.par
median_filter.pcf
median_filter.prj
median_filter.ptwx
median_filter.stx
median_filter.syr
median_filter.twr
median_filter.twx
median_filter.unroutes
median_filter.xise
median_filter.xpi
median_filter.xst
median_filter_envsettings.html
median_filter_guide.ncd
median_filter_map.map
median_filter_map.mrp
median_filter_map.ncd
median_filter_map.ngm
median_filter_map.xrpt
median_filter_ngdbuild.xrpt
median_filter_pad.csv
median_filter_pad.txt
median_filter_par.xrpt
median_filter_summary.html
median_filter_summary.xml
median_filter_usage.xml
median_filter_xst.xrpt
webtalk_pn.xml
VIP VIP
  • 睥睨 6小时前 成为了本站会员

  • ? 7小时前 成为了本站会员

  • IATWAY 1天前 成为了本站会员

  • 大智若愚 1天前 成为了本站会员

  • Mason 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • Half_Punch 2天前 成为了本站会员

  • liqing71718 2天前 成为了本站会员

  • 伟国 2天前 成为了本站会员

  • songy 2天前 成为了本站会员

0.221322s