首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 4×4键盘扫描的verilog 代码,在CPLD板上实现

4×4键盘扫描的verilog 代码,在CPLD板上实现

  • 资源大小:1.18 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 软件系统 word

资 源 简 介

4×4键盘扫描的verilog 代码,在CPLD板上实现-4 × 4 keyboard scan Verilog code, the CPLD on the board realize

文 件 列 表

keyscan.v
VIP VIP
0.176228s