首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL代码 键盘扫描,数码管静态移位显示,类似于计算器显示数据...

VHDL代码 键盘扫描,数码管静态移位显示,类似于计算器显示数据...

资 源 简 介

VHDL代码 键盘扫描,数码管静态移位显示,类似于计算器显示数据-VHDL code

文 件 列 表

test
sopc_builder_log.txt
test0.asm.rpt
test0.done
test0.fit.rpt
test0.fit.smsg
test0.fit.summary
test0.flow.rpt
test0.map.rpt
test0.map.summary
test0.pin
test0.pof
test0.qpf
test0.qsf
test0.qws
test0.sim.rpt
test0.sof
test0.tan.rpt
test0.tan.summary
test0.vhd
test0.vhd.bak
test0.vwf
新建 文本文档 (2).txt
新建 文本文档 (3).txt
新建 文本文档.txt
VIP VIP
  • IATWAY 1天前 成为了本站会员

  • 大智若愚 1天前 成为了本站会员

  • Mason 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • Half_Punch 2天前 成为了本站会员

  • liqing71718 2天前 成为了本站会员

  • 伟国 2天前 成为了本站会员

  • songy 2天前 成为了本站会员

  • 纯色幽默 2天前 成为了本站会员

  • odd? 2天前 成为了本站会员

0.183322s