首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用vhdl语言控制七段显示管和八个LED灯的程序,并通过下载验证。...

用vhdl语言控制七段显示管和八个LED灯的程序,并通过下载验证。...

  • 资源大小:66.30 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Windows开发 vhdl

资 源 简 介

用vhdl语言控制七段显示管和八个LED灯的程序,并通过下载验证。-VHDL language used to control Seven-Segment display tube and eight LED lights procedures and verification by downloading.

文 件 列 表

led778.asm.rpt
led778.done
led778.pof
led778.sof
led778.tan.rpt
led778.tan.summary
led778.vhd
led778.qpf
led778.qsf
led778.flow.rpt
led778.map.rpt
led778.map.summary
led778.fit.rpt
led778.fit.smsg
led778.fit.summary
led778.pin
VIP VIP
0.170975s