首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用vhdl语言描述时钟的功能,并通过七段译码输出。

用vhdl语言描述时钟的功能,并通过七段译码输出。

资 源 简 介

用vhdl语言描述时钟的功能,并通过七段译码输出。-VHDL language used to describe the function of the clock and through the Seven-Segment decoder output.

文 件 列 表

clock.fit.summary
clock.flow.rpt
clock.map.rpt
clock.map.summary
clock.pin
clock.pof
clock.qpf
clock.qsf
clock.sof
clock.tan.rpt
clock.tan.summary
clock.vhd
clock.asm.rpt
clock.done
clock.fit.rpt
clock.fit.smsg
VIP VIP
0.180149s