首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 我用VHDL写的正弦,用FPGA内部ROM,有仿真testbench,在quartus里可以运行。在板子里已经验证...

我用VHDL写的正弦,用FPGA内部ROM,有仿真testbench,在quartus里可以运行。在板子里已经验证...

资 源 简 介

我用VHDL写的正弦,用FPGA内部ROM,有仿真testbench,在quartus里可以运行。在板子里已经验证-I used to write VHDL sinusoidal, using FPGA internal ROM, has simulation testbench, you can run in Quartus. Yard has already been verified in the plates

文 件 列 表

rom
work
db
Untitled
rom.qpf
rom.qsf
ROM.ASM
ASM51.PRJ
rom.PRJ
ROM.DSK
ROM.HEX
ROM.BIN
romexam.vhd
romexam.cmp
rom.map.rpt
rom.flow.rpt
rom.map.summary
rom.map.eqn
rom.fit.eqn
rom.pin
rom.fit.rpt
rom.fit.summary
rom.sof
rom.pof
rom.asm.rpt
rom.tan.summary
rom.tan.rpt
rom.done
romexam.bsf
rom_tb.vhd
rom.mpf
rom.cr.mti
vsim.wlf
rom.vhd
cnt10.stp1
romtest.mpf
stp2.stp
rom.cdf
stp3.stp
rom.qws
romtest.cr.mti
VIP VIP
0.178923s