首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 时钟由Verilog可计数从00:00至23:59写作。带一个文件到…

时钟由Verilog可计数从00:00至23:59写作。带一个文件到…

资 源 简 介

A clock writing by Verilog which can count from 00:00 to 23:59. With a C file to see the simulation results. A co-design example of C and Verilog.

文 件 列 表

CLOCK
hw3
homework3.v
HW3.doc
hw3testbench.v
output_use_de.c
~$HW3.doc
VIP VIP
0.169779s