首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用于实现sin,cos三角函数计数的VHDL程序代码

用于实现sin,cos三角函数计数的VHDL程序代码

资 源 简 介

用于实现sin,cos三角函数计数的VHDL程序代码-towards sin, cos trigonometry count VHDL code

文 件 列 表

cordic_网上代码.vhd
VIP VIP
0.184468s