首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > jh_cpu是CPU 12地址,8数据总线,并提供直接地址,间接地..

jh_cpu是CPU 12地址,8数据总线,并提供直接地址,间接地..

资 源 简 介

Jh_cpu is a cpu with 12 address,8 data bus, adn give direct address ,indirect address two addressin way.-This VHDl code can provide a total clear and detail process to create a basic function risc cpu.

文 件 列 表

cpupack.vhd
JH_CPU1.SCF
jh_cpu1.vhd
JH_CPU10.SCF
jh_cpu10.vhd
JH_CPU11.SCF
jh_cpu11.vhd
jh_cpu12.scf
jh_cpu12.vhd
jh_cpu13.scf
jh_cpu13.vhd
JH_CPU2.SCF
jh_cpu2.vhd
JH_CPU3.SCF
jh_cpu3.vhd
JH_CPU4.SCF
jh_cpu4.vhd
JH_CPU5.SCF
jh_cpu5.vhd
JH_CPU6.SCF
jh_cpu6.vhd
JH_CPU7.SCF
jh_cpu7.vhd
JH_CPU8.SCF
jh_cpu8.vhd
JH_CPU9.SCF
jh_cpu9.vhd
VIP VIP
  • 5分钟前 成为了本站会员

  • Half_Punch 1天前 成为了本站会员

  • liqing71718 1天前 成为了本站会员

  • 伟国 1天前 成为了本站会员

  • songy 1天前 成为了本站会员

  • 纯色幽默 1天前 成为了本站会员

  • odd? 1天前 成为了本站会员

  • 52JOY... 1天前 成为了本站会员

  • Sirius 2天前 成为了本站会员

  • 2天前 成为了本站会员

0.190866s