首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 去抖动独立按键实验0

去抖动独立按键实验0

  • 资源大小:1.87 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: 嵌入式系统 c++

资 源 简 介

去抖动独立按键实验0--单片机学习资料--c语言的-To shake the independent button experimental 0- MCU learning materials- c language

文 件 列 表

DS18B20-液晶1602显示温度和控制
DS18B20-LCD1602
DS18B20-LCD1602.asm
DS18B20-LCD1602.hex
DS18B20-LCD1602.lnp
DS18B20-LCD1602.LST
DS18B20-LCD1602.M51
DS18B20-LCD1602.OBJ
DS18B20-LCD1602.Opt
DS18B20-LCD1602.plg
DS18B20-LCD1602.Uv2
DS18B20-LCD1602_Opt.Bak
DS18B20-LCD1602_Uv2.Bak
DS18B20实验图片1.jpg
DS18B20实验图片10.jpg
DS18B20实验图片11.jpg
DS18B20实验图片12.jpg
DS18B20实验图片13.jpg
DS18B20实验图片14.jpg
DS18B20实验图片15.jpg
DS18B20实验图片16.jpg
DS18B20实验图片17.jpg
DS18B20实验图片18.jpg
DS18B20实验图片19.jpg
DS18B20实验图片2.jpg
DS18B20实验图片3.jpg
DS18B20实验图片4.jpg
DS18B20实验图片5.jpg
DS18B20实验图片6.jpg
DS18B20实验图片7.jpg
DS18B20实验图片8.jpg
DS18B20实验图片9.jpg
例程说明.txt
VIP VIP
0.172025s