首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 对于CA码发生器完整的VHDL程序vhdlfullcodeforcacodegenerator.rar…

对于CA码发生器完整的VHDL程序vhdlfullcodeforcacodegenerator.rar…

资 源 简 介

VHDLfullCODEforCAcodeGenerator.rar为CA码发生器的完整VHDL程序,可用于CA码的产生与仿真验证等。-VHDLfullCODEforCAcodeGenerator.rar for the CA code generator complete VHDL program can be used to generate CA code verification and simulation.

文 件 列 表

VHDL完整代码-----CA码发生器
work
transcript
Accumulate.vhd
Carry_Dco.vhd
CA_Code_Generator.vhd
CA_Code_SignalOfCon.vhd
ClockGenerator.vhd
Code_Dco.vhd
Debug_Detect_Peakvalue.vhd
debug_detect_peakvalue_timesim.vhd
Digital_Correlator.vhd
Epcoch_Counters.vhd
EPL_Shift_Register.vhd
HardTest_Digital_Correlation.vhd
MixOfCarry.vhd
Slewof_Generate.vhd
slew_ofgenerator_tb.vhd
VIP VIP
0.177868s