首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 利用VHDL语言实现的实用出租车计价器程序

利用VHDL语言实现的实用出租车计价器程序

  • 资源大小:5.02 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Windows核心 vhdl

资 源 简 介

利用VHDL语言实现的实用出租车计价器程序 -The practical use of VHDL language implementation procedures Taximeter

文 件 列 表

出租车计价器设计程序
taxi
VIP VIP
0.177897s