首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDLVERILOG语言实现的CARDBUS的IP源码,已经实现现场应用

VHDLVERILOG语言实现的CARDBUS的IP源码,已经实现现场应用

  • 资源大小:1.91 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

VHDLVERILOG语言实现的CARDBUS的IP源码,已经实现现场应用-CARDBUS IP CORE

文 件 列 表

verilog
afifoflg.sch
afifoflg.sym
bus_chk.mem
byte_enable_test.tf
cardbus_5632.chp
cardbus_5632.prj
CARDBUS_5632.qcf
CARDBUS_5632.qdf
CARDBUS_5632.rpt
CARDBUS_5632.sc
cardbus_5632.sch
CARDBUS_5632.scp
CARDBUS_5632.sdf
cardbus_5632.tf
CARDBUS_5632.tre
cardbus_5632.v
CARDBUS_5632.vh
CARDBUS_5632.vq
cardbus_5632_modelsim.do
cardbus_5632_modelsim_post.do
cardbus_wrapper.sym
cardbus_wrapper.tf
cardbus_wrapper.v
cardbus_wrapper_test.tf
cfgtaddr_cardbus.sym
cfgtaddr_cardbus.v
cis_decode.sym
CIS_decode.v
cmd_monitor.tf
ct_arbitration.tf
ct_burst_latency_timeout.tf
ct_burst_r_w.tf
ct_burst_r_w_ws.tf
ct_master.tf
ct_parity_error.tf
ct_single_r_w.tf
ct_target.tf
dcount16.v
dcount8.v
dffpa.sch
dffpa.sym
dma_test.tf
dmacntrl.sym
dmacntrl.v
dmaregrd.sym
dmaregrd.v
ecomp5.sch
ecomp5.sym
f32a32_25um.sch
f32a32_25um.sym
f64x4.sym
f64x4.v
fifocont.sym
fifocont.v
gcnte5_0.sym
gcnte5_0.v
gcnte5_2.sym
gcnte5_2.v
gcnte5_3.sym
gcnte5_3.v
initflgs.sym
initflgs.v
pci5632_280.v
pci_arb.tf
pci_cmd_test.tf
pci_mast.tf
pci_tar.tf
r128a8.v
r128x32_25um.sym
r128x32_25um.tf
r128x32_25um.v
r64x32.sym
r64x32.v
r64x4.v
ram128x18_25um.sch
ram128x18_25um.sym
ram128x18_25um.v
rgec5_1r.sym
rgec5_1r.v
rgec5_2.sym
rgec5_2.v
ucnt6.v
updcnt6.v
cardbus_5632aldec.do
cardbus_5632aldec_post.do
vhdl
afifoflg.sch
afifoflg.sym
bus_chk.mem
byte_enable_test.tb
cardbus_5632.chp
cardbus_5632.prj
cardbus_5632.qcf
CARDBUS_5632.qdf
cardbus_5632.rpt
CARDBUS_5632.sc
cardbus_5632.sch
cardbus_5632.scp
cardbus_5632.sdf
cardbus_5632.tb
CARDBUS_5632.tre
cardbus_5632.vhd
cardbus_5632.vhh
cardbus_5632.vhq
cardbus_5632_modelsim.do
cardbus_5632_modelsim_post.do
cardbus_wrapper.sym
cardbus_wrapper.vhd
cardbus_wrapper_test.tb
cfgtaddr_5632_280_pkg.vhd
cfgtaddr_cardbus.sym
cfgtaddr_cardbus.vhd
CIS.tb
cis_decode.sym
CIS_decode.vhd
clk_gen.tb
cmd_monitor.tb
cnt64.vhd
dcount16.vhd
dcount8.vhd
dffpa.sch
dffpa.sym
dmacntrl.sym
dmacntrl.vhd
dmaregrd.sym
dmaregrd.vhd
ecomp5.sch
ecomp5.sym
f128x4_25um.sym
f128x4_25um.tb
f128x4_25um.vhd
f32a32_25um.sch
f32a32_25um.sym
fifocont.sym
fifocont.vhd
gcnte5_0.sym
gcnte5_0.vhd
gcnte5_2.sym
gcnte5_2.vhd
gcnte5_3.sym
gcnte5_3.vhd
idt_fifo.tb
initflgs.sym
initflgs.vhd
lcnt64.vhd
pci5632_280.vhd
pci_access.tb
pci_arb.tb
pci_cmd_test.tb
pci_comp_5632.tb
pci_mast.tb
pci_pack.tb
pci_tar.tb
proto_chk.tb
r128a8.vhd
r128x32_25um.sym
r128x32_25um.tb
r128x32_25um.vhd
r128x4_25um.tb
r128x4_25um.vhd
r64x32.sym
ram128x18_25um.sch
ram128x18_25um.sym
ram128x18_25um.vhd
ram128x9.vhd
rgec5_1r.sym
rgec5_1r.vhd
rgec5_2.sym
rgec5_2.vhd
ucnt7_25um.vhd
updcnt7_25um.vhd
utils_pkg.tb
cardbus_5632aldec.do
cardbus_5632aldec_post.do
VIP VIP
  • 睥睨 1天前 成为了本站会员

  • ? 1天前 成为了本站会员

  • IATWAY 2天前 成为了本站会员

  • 大智若愚 2天前 成为了本站会员

  • Mason 2天前 成为了本站会员

  • 2天前 成为了本站会员

  • Half_Punch 3天前 成为了本站会员

  • liqing71718 3天前 成为了本站会员

  • 伟国 3天前 成为了本站会员

  • songy 3天前 成为了本站会员

0.181229s