首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > vhdl代码 实现16阶fir滤波器,可以仿真通过

vhdl代码 实现16阶fir滤波器,可以仿真通过

资 源 简 介

vhdl代码 实现16阶fir滤波器,可以仿真通过-vhdl code fir filter stage 16 can be adopted simulation

文 件 列 表

fir_16
case0.vhd
case1.vhd
case2.vhd
case3.vhd
fir_16.vhd
VIP VIP
0.159165s