首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > VHDL language design stopwatch, timer function of the realization, the realizati...

VHDL language design stopwatch, timer function of the realization, the realizati...

  • 资源大小:1.57 MB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

VHDL语言设计的秒表,实现计时功能,实现报时功能,并且通过硬件实验。-VHDL language design stopwatch, timer function of the realization, the realization of time functions, and through hardware experiments.

文 件 列 表

VHDL语言设计的秒表(已经通过硬件调试了)
miaobiao
使用说明请参看右侧注释====〉〉.txt
VIP VIP
0.185993s