首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > avr core porocesssor vhdl source code

avr core porocesssor vhdl source code

  • 资源大小:60.78 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: Project Design vhdl

资 源 简 介

avr core porocesssor vhdl source code

文 件 列 表

io_adr_dec.vhd
README.ENG
AVR_Desc.txt
alu_avr.vhd
avr_core.vhd
bit_processor.vhd
cpuwait.vhd
external_mux.vhd
CONVERT
GNR2VHD.EXE
HEX2VHD.EXE
io_reg_file.vhd
mux_type_package.vhd
pm_fetch_dec.vhd
portx.vhd
prom.vhd
ram.vhd
reg_file.vhd
Service_Module.vhd
simple_timer.vhd
test_avr_core2.vhd
Timer_Counter.vhd
top_avr_core.vhd
uart.vhd
VIP VIP
  • 大智若愚 3小时前 成为了本站会员

  • Mason 6小时前 成为了本站会员

  • 6小时前 成为了本站会员

  • Half_Punch 1天前 成为了本站会员

  • liqing71718 1天前 成为了本站会员

  • 伟国 1天前 成为了本站会员

  • songy 1天前 成为了本站会员

  • 纯色幽默 1天前 成为了本站会员

  • odd? 1天前 成为了本站会员

  • 52JOY... 1天前 成为了本站会员

0.172992s