首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 实现BCD码的加法,用VHDL实现,是书籍上配套的

实现BCD码的加法,用VHDL实现,是书籍上配套的

  • 资源大小:110.41 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

实现BCD码的加法,用VHDL实现,是书籍上配套的-BCD ADDER,Using VHDL

文 件 列 表

BCD ADD
db
BCD.asm.rpt
BCD.done
BCD.fit.eqn
BCD.fit.rpt
BCD.fit.summary
BCD.flow.rpt
BCD.map.eqn
BCD.map.rpt
BCD.map.summary
BCD.pin
BCD.pof
BCD.qpf
BCD.qsf
BCD.qws
bcd.sof
BCD.tan.rpt
BCD.tan.summary
bcd.vhd
BCD_assignment_defaults.qdf
cmp_state.ini
VIP VIP
  • IATWAY 1天前 成为了本站会员

  • 大智若愚 1天前 成为了本站会员

  • Mason 1天前 成为了本站会员

  • 1天前 成为了本站会员

  • Half_Punch 2天前 成为了本站会员

  • liqing71718 2天前 成为了本站会员

  • 伟国 2天前 成为了本站会员

  • songy 2天前 成为了本站会员

  • 纯色幽默 2天前 成为了本站会员

  • odd? 2天前 成为了本站会员

0.180027s