首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > vhdl编的dds函数发生器,完成sin(x)曲线的生成

vhdl编的dds函数发生器,完成sin(x)曲线的生成

资 源 简 介

vhdl编的dds函数发生器,完成sin(x)曲线的生成-vhdl function generator dds compiled to complete the sin (x) curve is generated

文 件 列 表

ddfs
db
adder10b.acf
adder10b.hif
adder10b.vhd
adder32b.acf
adder32b.hif
adder32b.vhd
ddsc(1).cnf
ddsc(2).cnf
ddsc(3).cnf
ddsc(4).cnf
ddsc(5).cnf
ddsc(6).cnf
ddsc(7).cnf
ddsc(8).cnf
ddsc(9).cnf
ddsc.acf
ddsc.cnf
ddsc.hif
ddsc.mmf
ddsc.ndb
ddsc.qpf
ddsc.qsf
ddsc.qws
DDSC.sym
ddsc.vhd
LIB.DLS
reg10b.acf
reg10b.hif
reg10b.vhd
reg32b.acf
reg32b.hif
reg32b.vhd
rom.acf
rom.cmp
rom.cnf
rom.hif
rom.inc
rom.mmf
rom.sym
rom.tdf
rom.vhd
rom_inst.tdf
rom_inst.vhd
sin_rom.acf
sin_rom.hif
sin_rom.mif
sin_rom.vhd
U2722998.DLS
U3283816.DLS
U8433380.DLS
VIP VIP
0.173743s