首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > vhdl,双向移位寄存器,实现置数,左移及右移操作

vhdl,双向移位寄存器,实现置数,左移及右移操作

  • 资源大小:32.27 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: VHDL vhdl

资 源 简 介

vhdl,双向移位寄存器,实现置数,左移及右移操作-vhdl, bi-directional shift register to achieve set the number of left and right shift operation

文 件 列 表

shifter.acf
shifter.cnf
shifter.fit
shifter.hif
shifter.jam
shifter.jbc
shifter.mmf
shifter.ndb
shifter.pin
shifter.pof
shifter.rpt
shifter.scf
shifter.snf
SHIFTER.sym
shifter.vhd
VIP VIP
0.176234s