首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 用VHDL写的交通灯控制器,基本功能齐全,和马路上十字路口的红绿灯模拟得很像的...

用VHDL写的交通灯控制器,基本功能齐全,和马路上十字路口的红绿灯模拟得很像的...

  • 资源大小:64.30 kB
  • 上传时间:2021-06-30
  • 下载次数:0次
  • 浏览次数:0次
  • 资源积分:1积分
  • 标      签: transportation applications others

资 源 简 介

用VHDL写的交通灯控制器,基本功能齐全,和马路上十字路口的红绿灯模拟得很像的-write VHDL traffic signal controllers and complete basic functions, on the road and the traffic lights crossroads as the very Simulation

文 件 列 表

trafficlight.pdf
VIP VIP
0.189867s