首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > 基于Verilog的PCI总线接口的设计及应用

基于Verilog的PCI总线接口的设计及应用

资 源 简 介

基于Verilog的PCI总线接口的设计及应用-Verilog-based PCI-bus interface design and application.

文 件 列 表

PCI总线设计
pcit32_lattice.pdf
PCI总线接口的FPGA实现及应用.pdf
PCI接口的FPGA实现及在图像采集中的应用研究.pdf
从PCI接口的综合验证方法.pdf
基于FPGA实现PCI总线控制器.pdf
基于FPGA的PCI局部总线控制器的Verilog实现.pdf
基于FPGA的PCI总线接口设计-好好看.pdf
基于FPGA的PCI总线接口设计的研究(1).pdf
基于FPGA的PCI总线接口设计的研究.pdf
基于FPGA的PCI总线控制器设计.pdf
基于FPGA的PCI接口控制器模型的设计-好好看.pdf
基于FPGA的PCI接口控制器设计与实现.pdf
基于FPGA的PCI接口简化设计.pdf
基于FPGA的PCI缓冲与通讯模块的设计与实现.pdf
基于FPGA的连续采样的高速PCI采集卡设计.pdf
基于PCI总线的动态视频图像采集系统.pdf
基于PCI总线的高速CCD图像存储系统设计.pdf
基于PCI总线的高速数据采集卡的研制.pdf
基于PCI总线的高速数据采集卡设计.pdf
基于PCI总线的高速数据采集板的设计.pdf
基于VHDL和FPGA技术的PCI总线接口设计.pdf
应用PCI总线的音频处理系统的设计.pdf
VIP VIP
0.210085s