首页| JavaScript| HTML/CSS| Matlab| PHP| Python| Java| C/C++/VC++| C#| ASP| 其他|
购买积分 购买会员 激活码充值

您现在的位置是:虫虫源码 > 其他 > Altera的Nios处理器和# 39!文件可以直接打开,选择设备目录…

Altera的Nios处理器和# 39!文件可以直接打开,选择设备目录…

资 源 简 介

ALTERA的NIOS处理器!文件直接可以打开直接选择器件重新编译!-Altera"s NIOS processor! Documents can be opened directly choose devices directly recompile!

文 件 列 表

altera_vhdl_support.vhd
boot_monitor_rom.vhd
boot_monitor_rom_contents.nm
boot_monitor_rom_contents.objdump
boot_monitor_rom_contents.out
boot_monitor_rom_contents.srec
boot_monitor_rom_lane0.mif
boot_monitor_rom_lane0_module.vhd
cnt.vhd
cpu.vhd
cpu_instruction_decoder_rom.mif
cpu_sdk
inc
lib
make_quartus_sw_project.tcl
sdk_info.ptf
src
cyclone32.csf
cyclone32.quartus
cyclone32.sof
cyclone32.vhd
cyclone32_old.bdf
ext_ram_contents.srec
ext_ram_lane0_module.vhd
ext_ram_lane1_module.vhd
ext_ram_lane2_module.vhd
ext_ram_lane3_module.vhd
mhz_counter.vhd
MUL_cpu.vhd
MUL_cpu_black_box_module.vhd
nios_module.bsf
nios_module.ptf
nios_module.vhd
nios_module_fast_input_register.esf
nios_module_fast_input_register.vhd
nios_module_fast_output_register.esf
nios_module_fast_output_register.vhd
nios_module_generation_script
nios_module_log.txt
nios_module_setup_quartus_native_synthesis.tcl
pin_assign.tcl
serv_req_info.txt
sopc_builder_debug_log.txt
sys_ram.vhd
sys_ram_contents.srec
sys_ram_lane0.mif
sys_ram_lane0_module.vhd
sys_ram_lane1.mif
sys_ram_lane1_module.vhd
sys_ram_lane2.mif
sys_ram_lane2_module.vhd
sys_ram_lane3.mif
sys_ram_lane3_module.vhd
timer.vhd
uart.vhd
uart_rx_stimulus_source_character_source_rom_module.vhd
undo_redo.txt
USR0_cpu.vhd
watchdog_pio.vhd
VIP VIP
  • IATWAY 3小时前 成为了本站会员

  • 大智若愚 9小时前 成为了本站会员

  • Mason 11小时前 成为了本站会员

  • 12小时前 成为了本站会员

  • Half_Punch 1天前 成为了本站会员

  • liqing71718 1天前 成为了本站会员

  • 伟国 1天前 成为了本站会员

  • songy 1天前 成为了本站会员

  • 纯色幽默 1天前 成为了本站会员

  • odd? 1天前 成为了本站会员

0.197367s